Access

    Access via TIB

    Check availability in my library

    Order at Subito €


    Export, share and cite



    Title :

    Crosstalk Noise Analysis and Optimization in 5 5 Hitless Silicon-Based Optical Router for Optical Networks-on-Chip (ONoC)


    Contributors:
    Xie, Y. (author) / Xu, J. (author) / Zhang, J. (author) / Wu, Z. (author) / Xia, G. (author)

    Published in:

    Publication date :

    2012-01-01


    Size :

    6 pages



    Type of media :

    Article (Journal)


    Type of material :

    Print


    Language :

    English


    Classification :

    DDC:    621.3692




    Formal Analysis of Crosstalk Noise inMesh-Based Optical Networks-on-Chip with WDM

    Xie, Y. / Song, T. / Zhang, Z. et al. | British Library Online Contents | 2016


    Silicon-microring-based thermo-optic non-blocking four-port optical router for optical networks-on-chip

    Li, C. / Zheng, W. / Dang, P. et al. | British Library Online Contents | 2016


    Crosstalk Analysis for Microring Based Optical Interconnection Networks

    Lin, B.-C. / Lea, C.-T. | British Library Online Contents | 2012