Zugriff

    Zugriff über TIB

    Verfügbarkeit in meiner Bibliothek prüfen

    Bestellung bei Subito €


    Exportieren, teilen und zitieren



    Titel :

    Crosstalk Noise Analysis and Optimization in 5 5 Hitless Silicon-Based Optical Router for Optical Networks-on-Chip (ONoC)


    Beteiligte:
    Xie, Y. (Autor:in) / Xu, J. (Autor:in) / Zhang, J. (Autor:in) / Wu, Z. (Autor:in) / Xia, G. (Autor:in)

    Erschienen in:

    Erscheinungsdatum :

    2012-01-01


    Format / Umfang :

    6 pages



    Medientyp :

    Aufsatz (Zeitschrift)


    Format :

    Print


    Sprache :

    Englisch


    Klassifikation :

    DDC:    621.3692




    Formal Analysis of Crosstalk Noise inMesh-Based Optical Networks-on-Chip with WDM

    Xie, Y. / Song, T. / Zhang, Z. et al. | British Library Online Contents | 2016


    Silicon-microring-based thermo-optic non-blocking four-port optical router for optical networks-on-chip

    Li, C. / Zheng, W. / Dang, P. et al. | British Library Online Contents | 2016


    Crosstalk Analysis for Microring Based Optical Interconnection Networks

    Lin, B.-C. / Lea, C.-T. | British Library Online Contents | 2012