This work presents and evaluates a novel processor microarchitecture which combines two paradigms: access/execute decoupling and simultaneous multithreading. We investigate how both techniques complement each other: while decoupling features an excellent memory latency hiding efficiency, multithreading supplies the in-order issue stage with enough ILP to hide the functional unit latencies. Its partitioned layout, together with its in-order issue policy makes it potentially less complex, in terms of critical path delays, than a centralized out-of-order design, to support future growths in issue-width and clock speed. The simulations show that by adding decoupling to a multithreaded architecture, its miss latency tolerance is sharply increased and in addition, it needs fewer threads to achieve maximum throughput, especially for a large miss latency. Fewer threads result in a hardware complexity reduction and lower demands on the memory system, which becomes a critical resource for large miss latencies, since bandwidth may become a bottleneck. ; Peer Reviewed ; Postprint (published version)


    Zugriff

    Download


    Exportieren, teilen und zitieren



    LabVIEW 5.0 delivers multithreading, ActiveX

    British Library Online Contents | 1998


    Multithreading Design of a Reliable Aerospace Computer

    Hassett, R. P. / Miller, E. H. | IEEE | 1966


    The chip-multithreading architecture and parallel optical interconnects

    Huang, D. / Sze, T. / Krishnamoorthy, A.V. et al. | IEEE | 2004


    Execute Command in Space Communications

    Cheilik, Philip | IEEE | 1967


    How to Execute Robust Design Optimization

    Egorov, Igor / Kretinin, Gennadiy / Leshchenko, Igor | AIAA | 2002