Access

    Access via TIB

    Check availability in my library

    Order at Subito €


    Export, share and cite



    Title :

    Place and Route Optimization for High Coverage Multi-corner Multi-mode Timing Fix


    Contributors:

    Conference:

    International Conference on Robotics, Vision, Signal Processing and Power Applications ; 10. ; 2018 ; George Town, Pinang



    Publication date :

    2019



    Type of media :

    Conference paper


    Type of material :

    Print


    Language :

    English



    Classification :

    DDC:    629.8/92



    Place and Route Optimization for High Coverage Multi-corner Multi-mode Timing Fix

    Lee, J. S. K. / Packeer Mohamed, M. F. / Md. Zawawi, M. A. et al. | Springer Verlag | 2019




    Method and motor vehicle for multi-mode route planning

    SCHILLER MATHIAS / SCHILLER JENS | European Patent Office | 2023

    Free access