Synonyme wurden verwendet für: Architektur
Suche ohne Synonyme: title:"Architektur"

1–50 von 177 Ergebnissen
|

    E/E-Architektur für Batterie-elektrische Fahrzeuge

    Scheuch, Volker | Tema Archiv | 2011

    Multisensor Navigation Systems Multicore Architecture

    Bose, Sam C. / Thobe, Glenn E. | AIAA | 2019

    Private Cloud Architecture - Analysis of Reliability

    Freier Zugriff
    Stąpór Paweł / Laskowski Dariusz / Łubkowski Piotr | DOAJ | 2018

    Knowledge architecture supporting collaborative MDO in the AGILE paradigm

    van Gent, Imco / Ciampa, Pier Davide / Aigner, Benedikt et al. | AIAA | 2017

    AlphaMosaic: An Artificially Intelligent Battle Management Architecture

    Albarado, Kevin / Coduti, Leonardo / Aloisio, Diane et al. | AIAA | 2021

    Architecture of a FlexRay Node

    Paret, Dominique | Wiley | 2012

    A Collaborative Architecture supporting AGILE Design of Complex Aeronautics Products

    Ciampa, Pier Davide / Moerland, Erwin / Seider, Doreen et al. | AIAA | 2017

    The Six Pillars of Simulation Architecture

    Evans, B. / American Institute of Aeronautics and Astronautics | British Library Conference Proceedings | 2014

    Modular Software Architecture for Fully Coupled Spacecraft Simulations

    Allard, Cody / Ramos, Manuel Diaz / Schaub, Hanspeter et al. | AIAA | 2018

    HIGH ASSURANCE RUN-TIME MONITORING ARCHITECTURE FOR AUTONOMOUS CONTROL

    Chou, Yi / Zutshi, Aditya / Clark, Matthew | TIBKAT | 2021

    Impact of Frame Architecture on Road Traffic Safety Management

    Freier Zugriff
    Szewczyk Sylwia / Siergiejczyk Mirosław / Krzykowska Karolina | DOAJ | 2017

    Examining Interactions Between Process Architecture and Architectural Impulses

    Ghosh, Sourobh / Lewis, Kemper / Devendorf, Erich | AIAA | 2012

      EXAMINING INTERACTIONS BETWEEN PROCESS ARCHITECTURE AND ARCHITECTURAL IMPULSES

      Ghosh, S. / Lewis, K. / Devendorf, E. et al. | British Library Conference Proceedings | 2012

    Embedded Fault-Tolerant Accelerator Architecture for Synthetic-Aperture Radar Backprojection

    Cruz, Helena / Duarte, Rui Policarpo / Neto, Horacio | AIAA | 2019

    Methodology for Automated Aircraft Systems Architecture Enumeration and Analysis

    Judt, David / Lawson, Craig | AIAA | 2012

      METHODOLOGY FOR AUTOMATED AIRCRAFT SYSTEMS ARCHITECTURE ENUMERATION AND ANALYSIS

      Judt, D. / Lawson, C. / American Institute of Aeronautics and Astronautics; International Society for Structural and Multidisciplinary Optimization | British Library Conference Proceedings | 2012

    Simulation Modeling of Space Missions Using the High Level Architecture

    Rabelo, Luis / Sala-Diakanda, Serge / Pastrana, John et al. | Tema Archiv | 2013

    ADDING A VERIFICATION VIEW FOR AN AUTONOMOUS REAL-TIME SYSTEM ARCHITECTURE

    Dabney, James B. / Badger, Julia M. / Rajagopal, Pavan | TIBKAT | 2021

    Implementing a system architecture model for automated aircraft cabin assembly processes

    Freier Zugriff
    Markusheska, Nastasija / Srinivasan, Venkatachalam / Walther, Jan-Niclas et al. | Springer Verlag | 2022

    Optimised lighting systems architecture

    Dubrovin, A. | Tema Archiv | 2001

    Space Robotics: Towards an Architecture for Autonomous Mobile Manipulation

    Vasile, Massimiliano / Becerra, Victor M. | AIAA | 2014

    Automated Selection of the Optimal On-board Systems Architecture within MDO Collaborative Environment

    Fioriti, Marco / Boggero, Luca / Corpino, Sabrina et al. | AIAA | 2017

    LASSO - Lunar Architecture Stochastic Simulator and Optimizer

    Alemany, Kristina / Olds, John | AIAA | 2005

    Qinna, a Component-Based QoS Architecture

    Tournier, J.-C. / Babau, J.-P. / Olive, V. | British Library Conference Proceedings | 2005

    Airfoil morphing architecture based on shape memory alloys

    Barbarino, Silvestro / Pecora, Rosario / Lecce, Leonardo et al. | Tema Archiv | 2009

    System architecture of HALAS—a helicopter slung load stabilisation and positioning system

    Nonnenmacher, D. / Kim, H. / Götz, J. et al. | Springer Verlag | 2013

    Cell-Based Architecture for Adaptive Wiring Panels: A First Prototype

    Murray, Victor / Llamocca, Daniel / Lyke, James et al. | AIAA | 2013

    Future National Airspace System Architecture Evaluation: Methods and Initial Results

    Eckhause, J. / Long, D. / Hemm, R.V. et al. | British Library Conference Proceedings | 2013

    Integrated navigation system architecture

    Smith, S.G. / Stokes, R.F. | Tema Archiv | 1990

    KNOWLEDGE ARCHITECTURE SUPPORTING COLLABORATIVE MDO IN THE AGILE PARADIGM (AIAA 2017-4139)

    Van Gent, Imco / Ciampa, Pier Davide / Aigner, Benedikt et al. | British Library Conference Proceedings | 2017

    Design of a Lunar Architecture for Tree Traversal in Service of Cabled Exploration

    Coimbra, Kaila / Junker, Calle / Pabarcius, Lucas et al. | TIBKAT | 2023

    Evaluating Performance Attributes of Layered Software Architecture

    Sharma, V. S. / Jalote, P. / Trivedi, K. S. | British Library Conference Proceedings | 2005

    Reusable Design of Data Visualization Software Architecture for Unmanned Aerial Vehicles

    Jovanović, Mlađan / Starčević, Dušan / Jovanović, Zoran | AIAA | 2014

    Data fusion architecture for Maritime Surveillance

    Gad, A. / Farooq, M. | IEEE | 2002

      Data Fusion Architecture for Maritime Surveillance

      Gad, A. / Farooq, M. / International Society of Information Fusion et al. | British Library Conference Proceedings | 2002

    Decentralized architecture for asynchronous sensors

    Nebot, E.M. / Bozorg, M. / Durrant-Whyte, H.F. | Tema Archiv | 1999

    High performance real-time fusion architecture

    Fountain, G. / Drager, S. | IEEE | 2002

    Research on the Development Procedure of Light-Weight New Body Architecture

    Fu, Xiangyang / Shi, Guohong / Jiang, Xin et al. | Tema Archiv | 2012

    A COLLABORATIVE ARCHITECTURE SUPPORTING AGILE DESIGN OF COMPLEX AERONAUTICS PRODUCTS (AIAA 2017-4138)

    Ciampa, Pier Davide / Moerland, Erwin / Seider, Doreen et al. | British Library Conference Proceedings | 2017

    Scalable Open-Source Architecture for Real-Time Monitoring of Adaptive Wiring Panels

    Llamocca, Daniel / Murray, Victor / Jiang, Yuebing et al. | AIAA | 2014

    A Methodology for Probabilistic Analysis of Distributed Multidisciplinary Architecture (PADMA) (AIAA 2016-3210)

    Ghosh, Sayan / Mavris, Dimitri N. | British Library Conference Proceedings | 2016

    Information fusion: a high-level architecture overview

    Salerno, J. | IEEE | 2002

      Information Fusion: A High-Level Architecture Overview

      Salerno, J. / International Society of Information Fusion / Institute of Electrical and Electronics Engineers | British Library Conference Proceedings | 2002