Zugriff

    Zugriff über TIB

    Verfügbarkeit in meiner Bibliothek prüfen

    Bestellung bei Subito €


    Exportieren, teilen und zitieren



    Titel :

    Formal Analysis of Crosstalk Noise inMesh-Based Optical Networks-on-Chip with WDM


    Beteiligte:
    Xie, Y. (Autor:in) / Song, T. (Autor:in) / Zhang, Z. (Autor:in) / He, C. (Autor:in) / Li, J. (Autor:in) / Xu, C. (Autor:in)

    Erschienen in:

    Journal of lightwave technology ; 34 , 15 ; 3550-3562


    Erscheinungsdatum :

    2016-01-01


    Format / Umfang :

    13 pages



    Medientyp :

    Aufsatz (Zeitschrift)


    Format :

    Print


    Sprache :

    Englisch


    Klassifikation :

    DDC:    621.3692





    Crosstalk Analysis of Asynchronous Optical Chip Interconnects with Direct Detection

    Ha, T. T. / Loesch, R. M. | British Library Online Contents | 1994



    Crosstalk Analysis for Microring Based Optical Interconnection Networks

    Lin, B.-C. / Lea, C.-T. | British Library Online Contents | 2012